节点文献

基于FPGA的可穿戴医护系统的接口设计与研究

【作者】 赵东

【导师】 申卫昌;

【作者基本信息】 西北大学 , 计算机系统结构, 2010, 硕士

【摘要】 近年来,随着半导体技术、计算机技术、通讯技术日新月异的发展,计算机向着高性能、微型化方向发展的速度也越来越快。半导体技术的提高和嵌入式技术的应用,使得传统意义上的计算机已缩小至芯片级。人们对计算机的要求不满足于固定的场所和固定的方式,人们想在随时随地使用便携式计算机,在这种技术背景下,人们对当今计算机的应用提出了一种新的需求——可穿戴计算机。在QuartusII6.0设计环境下,使用VHDL硬件描述语言和基于FPGA的EDA设计方法,采用RISC和CISC相结合的思想设计出了可穿戴医护系统处理器。在研究和设计过程中主要做了以下工作:1.根据可穿戴医护系统所要实现的各种生理信息采集等功能需求,并对RISC技术和CISC技术进行对比分析,为系统设计出专用的指令系统。2.设计出可穿戴医护系统的各个组成模块。3.先对处理器各个模块进行功能仿真验证,然后将处理器的各个模块整合成CPU整机,针对不同的CPU功能,以所设计指令集编写测试程序进行仿真验证;将波形文件下载到硬件平台上进行验证,可以发现仿真验证和硬件平台验证是一致的。验证结果表明了所设计CPU的有效性。本课题的研究内容为“基于FPGA的可穿戴医护系统的接口设计与研究”,主要研究任务是:设计一个可穿戴医护系统的处理器,并根据可穿戴医护系统的需求和外设信息,设计出系统的中断系统、程序计数器和串行通信接口。最后通过仿真验证系统的正确性和有效性。

【Abstract】 In recent years, as the rapid development of the semiconductor technology, the computer technology, the communication technology, the computer has developed more and more quickly towards the high-performance and the microminiaturized. As the improvement of semiconductor technology and the application of the embedded technology, makes the traditional sense of the computer has been down to the board level and the chip level. The traditional computer has been reduced to the chip level. Because people have been not satisfied with the fixed place and the fixed way to use the computer, they want to use the portable computer anywhere. So people has made a new application—the wearable computer.In QuartusII6.0 environment, we have designed the processor of the wearable medical system using idea of RISC and CISC, as using VHDL and EDA.In the research and design processing, we have done the following work:1. According to analyzing the functional requirements of wearable medical system, we have designed the special instruction system as comparing the CISC and the RISC.2. We have designed the component modules of.the wearable medical system.3. First, we made the simulation verification for the component modules of.the wearable medical system. Second, we made the simulation verification for the CPU depending on the function instruction. Finally, we download the waveform file to the system platform, and it can show the effectiveness of the CPU.The content of the issue is "The Design and Research of The Wearable System Interface based on FPGA", the main research work is to design the processor of the special wearable medical computer. According to the peripheral information and the demand of the wearable system, we design the interrupt system, the program counter and UART. Finally, it can show the effectiveness and correctness by simulation.

【关键词】 FPGA嵌入式可穿戴计算机接口技术
【Key words】 FPGAEmbeddedWearable computerInterface technology
  • 【网络出版投稿人】 西北大学
  • 【网络出版年期】2010年 09期
节点文献中: 

本文链接的文献网络图示:

本文的引文网络